/ / PL Komunikacja PS na płycie Zynq - symulacja, FPGA, Xilinx, Vivado

Komunikacja PL PS na płycie zynq - symulacja, FPGA, XILINX, VIVO

Pracuję nad aplikacją, która włączaPłyta Zynq. Opracowuję kod C, który powinien działać na hoście ARM i implementować i syntetyzować kod PL. Mam transfer danych między PL a PS. Ale nie mam zarządu. Chcę przetestować moje programy i ocenić mój system (zasoby, przepustowość i opóźnienie…).
Czy jest jakiś sposób na to? Dowolny symulator? Jak mogłem zobaczyć wartość danych przesyłanych między PL a PS. Mam tylko środowisko vivado z sdk.

Dzięki

Odpowiedzi:

0 dla odpowiedzi № 1

Chcę powiedzieć, że jeszcze tego nie wypróbowałem, ale odpowiedź może być w użyciu AXI Bus Functional Model (BFM):

Xilinx zapewnia AXI BFM weryfikację funkcjonalności masterów AXI i slave'ów AXI z interfejsem AXI3, AXI4, AXI4-Lite i AXI4-Stream

AXI Bus Functional Models (BFM), opracowane dla Xilinx przez Cadence Design Systems, wsparcie symulacja zaprojektowanego przez klienta IP opartego na AXI. AXI BFM obsługuje wszystkie wersje AXI (AXI3,AXI4, AXI4-Lite i AXI4-Stream). BFM są dostarczane jako zaszyfrowane moduły Verilog. Operacja BFM jest kontrolowana przez sekwencję zadań Verilog zawartych w pliku tekstowym składni Verilog. Interfejs API dla zadań Verilog jest opisany w Podręczniku użytkownika AXI BFM.

AXI BFM może służyć do weryfikacji łącznościi podstawowa funkcjonalność masterów AXI i slave'ów AXI z niestandardowym przepływem projektu RTL. AXI BFM dostarcza przykładowe stanowiska testowe i testy, które demonstrują możliwości pary AXI3, AXI4, AXI4-Lite i AXI4-Stream Master / Slave BFM. Te przykłady można wykorzystać jako punkt wyjścia do stworzenia testów dla niestandardowego projektu RTL z interfejsem AXI3, AXI4, AXI4-Lite i AXI4-Stream. Dostęp do przykładów można uzyskać z generatora CORE lub samodzielnego pobierania z Internetu.

AXI BFM może być również wykorzystywany w projektach wbudowanychza pomocą Xilinx Platform Studio (XPS). AXI BFM jest dostępny jako część kreatora CIP, aby utworzyć adres IP oparty na AXI z rozwiązaniem AXI BFM. AXI BFM jest również dostarczany jako oddzielne wyniki, które można uzyskać z katalogu IP XPS.

Nie istnieją licencje ewaluacyjne dla AXI BFM IP.

Najważniejsze funkcje i zalety

  • Obsługuje wszystkie szerokości danych protokołu i szerokości adresów, typy transferu i odpowiedzi
  • Sprawdzanie protokołu na poziomie transakcji (typ serii, długość, rozmiar, typ blokady, typ pamięci podręcznej)
  • Składnia behawioralna Verilog
  • Verilog API oparte na zadaniach
  • Dostarczone w ISE, włączone przez licencję wygenerowaną przez Xilinx
  • Przykładowe projekty Verilog i VHDL i stanowiska testowe dostarczane osobno lub za pośrednictwem generatora CORE do projektowania RTL
  • Zintegrowany z XPS jako pcore lub jako opcja z kreatorem CIP
  • Obsługiwane symulatory: Aldec Riviera-PRO, Cadence Incisive Enterprise Simulator, ISE Simulator, Mentor Graphics ModelSim i Synopsys VCS

Mam nadzieję, że to pomoże.